Créer des banques > à 2 Go - Kronoscopie

Aller au contenu
Didacticiels > Astuces Sampling


Série « Les didacticiels de François »

Créer et gérer des banques de plus de 2 Go


Ce didacticiel explique comment créer et gérer des banques d'une taille supérieure à la mémoire disponible du K
ronos.


Introduction

Il s'agit de présenter une méthode de gestion des ressources du K
ronos permettant de créer des banques très volumineuses qui vont au-delà de la capacité maximum de 3 Go du Kronos et qui, donc, ne peuvent pas être sauvegardées avec leurs ressources à l'aide de la fonction "Save All". Merci à Antony Sharmman d'avoir tracer les grandes lignes de cette méthode.

Méthode

Prenons une bibliothèque d'échantillons de 5 Go, ce chiffre n'est qu'un simple exemple.
Comme vous le savez sans doute, deux fichiers KSC sont créés sur le disque dur, l'un pour l'édition de la banque et l'autre pour la lecture sur disque des échantillons. Afin de pouvoir procéder à l'édition de la banque, il faudra donc pouvoir charger l'intégralité de la banque en mémoire, ce qui est impossible à réaliser si la banque en soi est plus volumineuse que la RAM disponible. C'est la raison pour laquelle vous devrez créer votre bibliothèque par tranches de 1,9 Go maximum. Pourquoi ce chiffre de 1,9 Go ? Tout simplement parce que le Kronos peut gérer 3 Go de mémoire vive, mais il conserve environ 1 Go pour le système (moteurs + effets + gestion). Ceci nous laisse environ 2 Go pour travailler avec la section Sampling. Il faut donc commencer par vider la RAM à l'aide du fichier VIDER_RAM.KSC (voir l'astuce "Vider rapidement la RAM" dans cette même section) et assembler les échantillons les plus gros en tenant compte de cette limite de 1,9 Go.

À ce moment de la mise au point de la banque, il vous faut réfléchir aux bibliothèques, autres que celles que vous êtes en train de préparer, que vous souhaitez pouvoir charger en mémoire en même temps que la banque que vous êtes en train de développer. Notez la RAM requise par votre tranche de 1,9 Go une fois cette dernière sauvegardée sur le disque dur et prête à l'emploi pour voir si cela est conforme au but recherché. Vous pouvez également vous aider à déterminer la RAM requise par diverses banques en vous reportant au tableau "RAM nécessaire pour les EXs" du menu Infos.

Une fois que toutes les tranches de 1,9 Go maximum ont été créées, vous devez à nouveau vider la RAM pour charger tous les fichier KSC de type UserBank, puis les combiner en un seul fichier KSC global qui inclut les références aux échantillons du dossier. Vous pouvez ensuite inclure ce fichier KSC dans votre liste de banques chargées lors du démarrage du K
ronos.

Si besoin est, vous pouvez éditer l'une des tranches de 1,9 Go en utilisant son fichier KSC standard. Après avoir sauvegardé les données modifiées, vous devrez mettre à jour le fichier KSC global de la banque en le recréant à partir des fichiers KSC des différentes tranches.

Faites attention à ne pas supprimer les fichiers KSC partiels (ceux des tranches), ni les dossiers où ils se trouvent avec leurs échantillons. En effet, ces derniers sont lus à partir du disque dur et le fichier KSC global (et son dossier) ne contient que la partie d'attaque des échantillons qui seront transférés en RAM pour être lus.

L'avantage de cette méthode et de la sauvegarde d'un fichier KSC global à partir de fichiers KSC des différentes tranches d'une banque est que la mémoire requise pour l'ouverture de la banque sera réduite d'au moins 80 %. Ceci permet de voir grand, comme indiqué dans le paragraphe ci-dessous.

Antony Sharmman a rapporté le résultat de divers tests effectués et la lecture de ses conclusions est édifiante. En utilisant un fichier SF2 de 1,850 Go comportant 616 échantillons stéréo non bouclés, il a réussi à importer 29 fois ce fichier SF2 pour créer une banque de 53,65 Go, assemblée à partir de la combinaison de 29 fichiers KSC ! Deuxièmement, en conservant toutes les banques d'usine dans le fichier KSC global, il a déterminé qu'il était encore possible de mettre au point une banque de type User Sample d'un maximum de 12,3 Go ! Voilà bien une capacité qui sépare le K
ronos du reste de la production actuelle des instruments hardware. Cela ouvre également des perspectives intéressantes d'usage du Kronos en alternative à Kontakt pour la lecture de banques utilisateur très volumineuses.

Bien entendu, il vous reste encore à mettre au point le fichier PCG qui exploitera cette banque d'échantillons ;)

Retourner au contenu